www.久久久久|狼友网站av天堂|精品国产无码a片|一级av色欲av|91在线播放视频|亚洲无码主播在线|国产精品草久在线|明星AV网站在线|污污内射久久一区|婷婷综合视频网站

當前位置:首頁 > EDA > 電子設計自動化
[導讀]大家不要以為APB的master和slave很簡單,不需要了解。這是大錯特錯,為什么呢?

大家不要以為APB的master和slave很簡單,不需要了解。這是大錯特錯,為什么呢?

不過設計什么模塊,你都要讓它掛在標準總線上,比如你設計DMA,你就同時需要了解AMBA的master和slave設計。又比如你是設計算法計算模塊,你的數(shù)據(jù)肯定要放到sram,你當然也要了解AMBA的master設計,將數(shù)據(jù)傳輸?shù)絚rossbar上,進而放到指定memory。又比如SOC設計,肯定需要各種bridge,假設一個AHB2APB,你就同時需要了解AHB slave和APB master。

以APB為例,還是因為APB簡單,但是我們可以從它學到設計的方法和思路。

既然是設計就需要spec和狀態(tài)機。

設計spec如下

1、模塊規(guī)劃

模塊diagram

2、接口描述

接口描述

3、時序描述

讀時序

讀時序

寫時序

寫時序

4、FSM

就是之前講的APB協(xié)議狀態(tài)機。如下圖

APB FSM

模塊規(guī)劃有了,接口有了,時序有了,狀態(tài)機有了,就可以開始設計coding了,代碼如下:

module apb#( parameter RD_FLAG = 8'b0 , parameter WR_FLAG = 8'b1 , parameter CMD_RW_WIDTH = 8 , parameter CMD_ADDR_WIDTH = 16 , parameter CMD_DATA_WIDTH = 32 , parameter CMD_WIDTH = CMD_RW_WIDTH + CMD_ADDR_WIDTH + CMD_DATA_WIDTH)(//-- clkrst signal input pclk_i , input prst_n_i ,

//-- cmd_in input [CMD_WIDTH-1:0] cmd_i , input cmd_vld_i , output reg [CMD_DATA_WIDTH-1:0] cmd_rd_data_o,

//-- apb interface output reg [CMD_ADDR_WIDTH-1:0] paddr_o , output reg pwrite_o , output reg psel_o , output reg penable_o , output reg [CMD_DATA_WIDTH-1:0] pwdata_o , input [CMD_DATA_WIDTH-1:0] prdata_i , input pready_i , input pslverr_i);

//-- FSM stateparameter IDLE = 3'b001;parameter SETUP = 3'b010;parameter ACCESS = 3'b100;

//-- current state and next statereg [2:0] cur_state;reg [2:0] nxt_state;

//-- data bufreg start_flag ;reg [CMD_WIDTH-1:0] cmd_in_buf ;reg [CMD_DATA_WIDTH-1:0] cmd_rd_data_buf;

/*----------------------------------------------- -- update cmd_in_buf -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cmd_in_buf <= {(CMD_WIDTH){1'b0}}; end else if (cmd_vld_i && pready_i) begin cmd_in_buf <= cmd_i; endend

/*----------------------------------------------- -- start flag of transfer -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin start_flag <= 1'b0; end else if (cmd_vld_i && pready_i) begin start_flag <= 1'b1; end else begin start_flag <= 1'b0; endend

/*----------------------------------------------- -- update current state -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cur_state <= IDLE; end else begin cur_state <= nxt_state; endend

/*----------------------------------------------- -- update next state -------------------------------------------------*/always @ (*) begin case(cur_state) IDLE :if(start_flag)begin nxt_state = SETUP; end else begin nxt_state = IDLE; end

SETUP :nxt_state = ACCESS; ACCESS:if (!pready_i)begin nxt_state = ACCESS; end else if(start_flag)begin nxt_state = SETUP; end else if(!cmd_vld_i && pready_i)begin nxt_state = IDLE; end endcaseend

/*----------------------------------------------- -- update signal of output -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin pwrite_o <= 1'b0; psel_o <= 1'b0; penable_o <= 1'b0; paddr_o <= {(CMD_ADDR_WIDTH){1'b0}}; pwdata_o <= {(CMD_DATA_WIDTH){1'b0}}; end else if (nxt_state == IDLE) begin psel_o <= 1'b0; penable_o <= 1'b0; end

else if(nxt_state == SETUP)begin psel_o <= 1'b1; penable_o <= 1'b0; paddr_o <= cmd_in_buf[CMD_WIDTH-CMD_RW_WIDTH-1:CMD_DATA_WIDTH]; //-- read if(cmd_in_buf[CMD_WIDTH-1:CMD_WIDTH-8] == RD_FLAG)begin pwrite_o <= 1'b0; end //-- write else begin pwrite_o <= 1'b1; pwdata_o <= cmd_in_buf[CMD_DATA_WIDTH-1:0]; end end

else if(nxt_state == ACCESS)begin penable_o <= 1'b1; endend

/*----------------------------------------------- -- update cmd_rd_data_buf -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cmd_rd_data_buf <= {(CMD_DATA_WIDTH){1'b0}}; end else if (pready_i && psel_o && penable_o) begin cmd_rd_data_buf <= prdata_i; endend

/*----------------------------------------------- -- update cmd_rd_data_o -------------------------------------------------*/always @ (posedge pclk_i or negedge prst_n_i) begin if (!prst_n_i) begin cmd_rd_data_o <= {(CMD_DATA_WIDTH){1'b0}}; end else begin cmd_rd_data_o <= cmd_rd_data_buf; endend

endmodule

模塊設計的比較簡單,只是實現(xiàn)APB的基本功能。下面講一下設計重點:

·一定要做好功課在開始coding。

·Flow control,APB的上級模塊,需要給到流控信號,告知APB master什么時候開始傳輸,什么時候結(jié)束。

·FSM,必須完全遵循AMBA的datasheet。

·時序?qū)R,和FSM一樣,接口時序要和APB協(xié)議對齊。

·重點中的重點,pready的反壓一定要逐級反壓,不能直接送到APB master的上次模塊,這樣會丟數(shù)據(jù)。

testbench如下

`timescale 1ns/1nsmodule tb_apb; reg pclk_i ; reg prst_n_i ; reg [55:0] cmd_i ; reg cmd_vld_i ; wire [31:0] cmd_rd_data_o; wire [15:0] paddr_o ; wire pwrite_o ; wire psel_o ; wire penable_o ; wire [31:0] pwdata_o ; reg [31:0] prdata_i ; reg pready_i ; reg pslverr_i ;

initial begin // rst; pclk_i = 0; prst_n_i = 1; pslverr_i = 0; cmd_i = 56'b0; cmd_vld_i = 0; prdata_i = 32'b0; pready_i = 1; #20 prst_n_i = 0; #20 prst_n_i = 1;

// cmd_in_wr(cmd_i,56'h01_FF_EE_DD_CC_BB_AA); cmd_i = 56'h01_FF_EE_DD_CC_BB_AA; cmd_vld_i = 1 ; #20 cmd_vld_i = 0; #31 pready_i = 0; #80 pready_i = 1;

#90; //cmd_in_rd(cmd_i,56'h00_AA_BB_CC_DD_EE_FF,prdata_i,32'h12_34_56_78); cmd_i = 56'h00_AA_BB_CC_DD_EE_FF; cmd_vld_i = 1; #20 cmd_vld_i = 0; #30 pready_i = 0;

#60 pready_i = 1; prdata_i = 32'h12_34_56_78;

cmd_i = 56'h00_AA_BB_CC_DD_EE_FF; cmd_vld_i = 1; #20 cmd_vld_i = 0; #30 pready_i = 0;

#50 pready_i = 1; prdata_i = 32'h11_22_33_44;

end

always #10 pclk_i = ~pclk_i;

//-- RSTtask rst; begin pclk_i = 1; prst_n_i = 1; pslverr_i = 0; cmd_i = 56'b0; cmd_vld_i = 0; prdata_i = 32'b0; pready_i = 1; #20 prst_n_i = 0; #10 prst_n_i = 1; //cmd_i = 56'h01_FF_EE_DD_CC_BB_Ab; endendtask

//-- writetask cmd_in_wr; output [55:0] cmd; input [55:0] data;

begin cmd = data; cmd_vld_i = 1 ; #20 cmd_vld_i = 0; #20 pready_i = 0; #40 pready_i = 1; endendtask

//-- readtask cmd_in_rd; output [55:0] cmd; input [55:0] data ; output [31:0] prdata; input [31:0] rd_data;

begin cmd = data; cmd_vld_i = 1; #20 cmd_vld_i = 0; #20 pready_i = 0; #40 pready_i = 1; prdata = rd_data; endendtaskinitial begin #1000 $finish;endapb tb_apb( .pclk_i (pclk_i ), .prst_n_i (prst_n_i ), .cmd_i (cmd_i ), .cmd_vld_i (cmd_vld_i ), .cmd_rd_data_o(cmd_rd_data_o), .paddr_o (paddr_o ), .pwrite_o (pwrite_o ), .psel_o (psel_o ), .penable_o (penable_o ), .pwdata_o (pwdata_o ), .prdata_i (prdata_i ), .pready_i (pready_i ), .pslverr_i (pslverr_i ) );

initial begin $fsdbDumpfile("apb.fsdb"); $fsdbDumpvars ; $fsdbDumpMDA ;end

endmodule

makefile如下:

LAB_DIR = /home/*/apb

DFILES = $(LAB_DIR)/*.v

all:clean elab rungelab: vcs -full64 -LDFLAGS -Wl,-no-as-needed -debug_acc+all -timescale=1ns/1ns \ -fsdb -sverilog -l comp.log \ ${DFILES}

run: ./simv -l run.log

rung: ./simv -gui -l run.log

verdi: verdi ${DFILES} \ -ssf ./*.fsdb &

clean: rm -rf AN.DB \ rm -rf DVEfiles \ rm -rf csrc \ rm -rf simv.* \ rm -rf *simv \ rm -rf inter.vpd \ rm -rf ucli.key \ rm -rf *.log \ rm -rf verdiLog \ rm -rf novas* \ rm -rf *.fsdb

下面是仿真結(jié)果

SoC設計入門 - APB master設計(接口類基礎思維)

好了,今天講的主要就這么多,這個是基礎,但也是干貨,對以后設計AHB,AXI乃至NOC都非常有幫助。

本站聲明: 本文章由作者或相關(guān)機構(gòu)授權(quán)發(fā)布,目的在于傳遞更多信息,并不代表本站贊同其觀點,本站亦不保證或承諾內(nèi)容真實性等。需要轉(zhuǎn)載請聯(lián)系該專欄作者,如若文章內(nèi)容侵犯您的權(quán)益,請及時聯(lián)系本站刪除。
換一批
延伸閱讀

為解決使用現(xiàn)有接裝紙分離裝置生產(chǎn)“視窗煙支”時出現(xiàn)的安裝調(diào)整難度大、耗時長、穩(wěn)定性差,煙支接裝紙外觀質(zhì)量缺陷率高等問題,設計了一種接裝紙三級分離和控制裝置。通過接裝紙初步分離、分離定位控制和最終定位輸送裝置模塊化設計,且...

關(guān)鍵字: 視窗煙支 接裝紙 分離 控制

構(gòu)建了機載電源特性測試系統(tǒng) , 包括硬件平臺和軟件平臺:硬件平臺用于產(chǎn)生電源特性測試所需激勵信號 , 軟件 平臺實現(xiàn)電源特性測試架構(gòu)的 自動切換和電源特性的數(shù)據(jù)采集;硬件平臺由APS15000線性功放 、LVA2500線...

關(guān)鍵字: 電源特性測試 測試切換 數(shù)據(jù)采集 自動控制

作為業(yè)內(nèi)持續(xù)專注于物聯(lián)網(wǎng)(IoT)芯片開發(fā)的廠商,Silicon Labs(芯科科技)自2021年剝離基礎設施與汽車(I&A)業(yè)務后,全力聚焦物聯(lián)網(wǎng)領域。而隨著物聯(lián)網(wǎng)邁向全場景無縫連接與人工智能(AI)端側(cè)賦能的新階段,...

關(guān)鍵字: 芯科科技 IoT BLE AoA Sub-G AI

永磁同步電機具有高效節(jié)能 、低噪聲 、高功率密度等顯著優(yōu)點 ,特別適用于新能源電動汽車行業(yè) 。針對城市用輕型 低速電動汽車的應用 , 分析了一款內(nèi)置式永磁同步電機的設計方法及特點 , 對汽車驅(qū)動電機的基本性能及設計策略進...

關(guān)鍵字: 永磁同步電機 新能源汽車 有限元計算 電機設計 內(nèi)置式

介紹了“W ”型鍋爐的燃燒特性 ,深度調(diào)峰過程中常見的問題及風險點 。結(jié)合某電廠630 MW超臨界機組在200 MW負 荷深度調(diào)峰過程中給煤機斷煤引起的燃燒惡化工況 ,對燃燒惡化后的現(xiàn)象 、處理過程及原因進行了全面分...

關(guān)鍵字: “W”型鍋爐 深度調(diào)峰 燃燒惡化 穩(wěn)燃措施

在地鐵供電系統(tǒng)中 ,直流牽引系統(tǒng)故障可能會導致地鐵列車失電 ,對運營服務造成嚴重影響 。地鐵出入場(段)線 的部分直流牽引供電設備處于露天環(huán)境 , 與正線隧道內(nèi)較為封閉的環(huán)境相比 , 易因外部環(huán)境影響 ,導致設備故障 。...

關(guān)鍵字: 出入段線 牽引直流開關(guān) 電流變化率保護 跳閘

在現(xiàn)代電力系統(tǒng)中 , 無論是大電流 、高電壓 、快速運行的電源開關(guān)系統(tǒng) , 還是高速電機的驅(qū)動系統(tǒng) , 電磁干擾的傳 播一直是系統(tǒng)設計的難點 。鑒于此 ,介紹了通過控制高速開關(guān)核心模塊PWM(脈寬調(diào)制)的展頻方式來減少E...

關(guān)鍵字: 電磁干擾(EMI) 脈寬調(diào)制(PWM) 展頻

水廠作為城市供水系統(tǒng)的重要組成部分 , 其電氣設計的合理性和高效性直接關(guān)系到整個供水系統(tǒng)的穩(wěn)定性和經(jīng) 濟性 。鑒于此 ,從供配電系統(tǒng) 、設備選型 、電纜敷設 、節(jié)能措施及智慧化平臺等五個維度 , 結(jié)合現(xiàn)行規(guī)范與工程實踐...

關(guān)鍵字: 水廠 電氣設計 供配電系統(tǒng) 智慧化平臺

由于負載的特殊性和運行條件的復雜性 ,海上油氣平臺的電氣系統(tǒng)功率因數(shù)普遍較低 。這種低功率因數(shù)會對電力 系統(tǒng)造成一系列負面影響 , 包括電能損耗增加 、設備運行效率降低及對平臺電力系統(tǒng)的沖擊 。鑒于此 , 結(jié)合具體項目案...

關(guān)鍵字: 油氣平臺 靜止無功發(fā)生器(SVG) 功率因數(shù) 無功補償 改造案例

在電子制造領域,DFM(Design for Manufacturability,可制造性設計)作為連接研發(fā)與量產(chǎn)的橋梁,通過在設計階段預判制造風險,已成為提升產(chǎn)品良率、降低成本的核心工具。以手機攝像頭模組封裝工藝為例,...

關(guān)鍵字: DFM BSOB
關(guān)閉